Monday, November 23, 2015

Standalone Clock Tree Synthesis Capabilities

Using the clock_opt command is the recommended method for performing clock tree synthesis and optimization with ICC. However, in cases where finer control is required, ICC also provides the following standalone clock tree synthesis capabilities:

- Clock tree power optimization
- Clokc tree synthesis
- High-fanout net synthesis
- Clock tree optimization
- Interclock delay balancing
- I/O timing adjustment

The script below provides an example of performing clock tree synthesis and optimization by using the standalone capabilities. The following sections provide details about these capabilies.

optimize_pre_cts_power
compile_clock_tree
optimize_clock_tree
balance_inter_clock_delay
route_zrt_group -all_clock_nets -resue_existing_global_route true
update_clock_latency
set_fix_hold [all_clocks]
psynopt -area_recovery -power
(Clock Tree Synthesis and Optimization Using Standalone Capabilities)


No comments:

Post a Comment