Wednesday, September 30, 2015

Timing Exceptions

When certain paths are not intended to operate according to the default setup/hold behavior assumed by PT,  you shoulf specify those paths as timing exceptions. Otherwise, PT might incorrectly report those paths as having timing violations.

PT lets you specify the following types of timing exceptions:

** false path --A path that is never sensitzed due to the logic configuration, expected data sequence, or operating mode.

** Multicycle Path -- A path designed to take more than one clock cycle from launch to capture.

** Minimum/maximum delay path -- A path that must meet a delay constraint that you specify explicity as a time value.


No comments:

Post a Comment