Monday, October 5, 2015

PT Command Results


1) Every PT cmds has a result. Many cmds result in "1" to indicate success or "0" to indicate failure.

Foe example,
pt_shell>create_clock -period 6.67 [get_ports clk1]
1

2)for many other cmds, the result is a collection.

For example,
pt_shell>get_ports IN*
{"IN1", "IN2", "IN3", "IN4"}

The get_ports IN* cmd creates a collection of all ports in the design beginning with the letters IN.

3)In PT, cmds are often nested, one cmd within another, so that the result of one cmd is used as an argument for another. Each netsed cmd is enclosed in square brackets ( [ ] ).

For example,
the set_input_delay cmd sets a timing constraint on one or more specified input ports. You can gather a collection of input ports with the get_ports cmd and then pass the result to the set_input_delay cmd:

pt_shell> set_input_delay 2.3 [get_ports IN*]

the effect of the entire cmd is to set the input delay to a specific value for all ports beginning with the letter IN.

4) the output of some cmds is a report.

for example,

pt_shell>man
pt_shell>report_timing

5) To have PT pause between each screenful of text , set the page mode variable to true:

pt_shell>set sh_enable_page_mode true






No comments:

Post a Comment